现在的位置: 首页 > 综合 > 正文

Quartus仿真步骤

2013年10月04日 ⁄ 综合 ⁄ 共 1689字 ⁄ 字号 评论关闭

建立工程和文件:

1、在某个盘符下新建文件夹,如D/cc

2、打开软件,新建文件File-new-VHDL File;

3、保存刚新建文件,取名为cc(最好所有文件、文件夹取名相同,以免后面编译出现错误);

4、同时软件提醒:是否建立project,选择是;

5、建立project过程中,按软件默认设置,点击NEXT,

   当到达Add File这一步时,点击File name右边的“...”,

    然后将刚才保存的名为“cc”的文件添加进去,在点击NEXT。

6、此时你已经建好了project和file,现在你可以在文本框中编写程序了;

 

注意:每次进行编译之前一定要对文件进行保存,文件名一定要和最先建立的文件夹的名字一样(cc.

编译文件、生成相应的器件:

111、编译程序

点击如图红圈圈的的按钮,即编译程序,一段时间后会显示以下对话框:

点击“确定”即编译成功。

222、将我们设计的电路编译成库中的一个元件,流程如下:

“File”下“Create / Update”子菜单下的“Create symbol Filesfor Current File”,一段时间后会出现:

点击“确认”后,即可生成相应元件。

333、

Aaa  File—New—BlockDiagram/Schematic File 点击“确定”即可,到此一生成绘制原件电路的工作空间;

Bbb在空间任意位置双击左键,会出现如图所示对话框:

点击“OK”即可将刚才生成的相应元件添加到工作空间;

Ccc  然后添加“输入”、“输出”引脚,如下图所示:

然后将各引脚名称改为和器件上显示的一样(双击引脚,即可出现对话框)

再将引脚和器件的相应接口相连,最后结果如下:

444、保存文件,命名为cc(和先前一样)

编译,过程如下:点击如图所示的编译按钮即可实现编译工作

 

 

时序波形仿真:

4. 功能仿真

仿真是指利用QuartusII软件对我们设计的电路的逻辑功能进行验证,看看在电路的各输入端加上一组电平信号后,其输出端是否有正确的电平信号输出。因此在进行仿真之前,我们需要先建立一个输入信号波形文件。方法和步骤如下:

1)在【File】菜单下,点击【New】命令。在随后弹出的对话框中,切换到【Other Files】页。选中【Vector Waveform  File】选项,点击OK 按钮。

 

 

 

 

 

 

 

 

 

 

2)在【Edit】菜单下,点击【Insert Node or Bus…】命令,或在下图Name列表栏下方的空白处双击鼠标左键,打开编辑输入、输出引脚对话框。

 

3)在上图新打开的对话框中点击【Node Finder…】按钮,打开【Node Finder】对话框。点击【List】按钮,列出电路所有的端子。点击>> 按钮,全部加入。点击
OK 按钮,确认。

点击OK回到Insert Node or Bus 对话框,再点击 OK 按钮,确认。

 

4 )选中 in1 信号,在 Edit 菜单下,选择【Value =>
Clock…】命令。或直接点击左侧工具栏上的按钮。在随后弹出的对话框的Period 栏目中设定参数为50ns,点击
OK 按钮。

 

5)in2in3 也用同样的方法进行设置,Period 参数分别为 20ns 和 40ns 。

QuartusII软件集成了电路仿真模块,电路有两种模式:时序仿真和功能仿真,时序仿真模式按芯片实际工作方式来模拟,考虑了元器件工作时的延时情况,而功能仿真只是对设计的电路其逻辑功能是否正确进行模拟仿真。在验证我们设计的电路是否正确时,常选择“功能仿真”模式。

6)将软件的仿真模式修改为“功能仿真”模式,操作方法如下图所示:

 

7)选择好“功能仿真”模式后,需要生成一个“功能仿真的网表文件”,方法是如右 图,选择【Processing】菜单,点击【Generate FunctionalSimulation Netlist】命令。软件运行完成后,点击确定。

 

 

 

 

 


8)开始功能仿真,在【Processing】菜单下,选择【Start Simulation】启动仿真工具,或直接点击常用工具栏上的按钮。仿真结束后,点击确认按钮。观察仿真结果,对比输入与输出之间的逻辑关系是否符合电路的逻辑功能。

 

抱歉!评论已关闭.