现在的位置: 首页 > 综合 > 正文

Nios II程序下载到Flash的方法

2012年10月08日 ⁄ 综合 ⁄ 共 1178字 ⁄ 字号 评论关闭

Nios II程序下载到Flash的方法

    我们在调试完Nios II系统后,接下来的工作就是要把程序固化到NOR Flash(以下简称Flash)中,让其上电后自动运行。但是这又该如何做呢?我想大家一定会想到使用Nios的Flash Programmer。没错,就是用它。不过,Flash Programmer也不是随便拿来就能用的,如果设置不正确,照样无法使用。闲话少说,follow me。

说明:设置Flash的方法和Nios II的版本有直接关系。这里只以Quartus II 6.0和Nios II 6.0为例。

Step 1
刚打开SOPC时,Target Board默认是Unspecified Board。如果要将Nios程序下载到Flash或EPCS中,我们就要自定义目标板。单击SOPC Builder的File-->New Board Description…。选择Netlist框。在Device Family栏中选择正确的FPGA系列。

Step 2
选择Flash Memory框。单击New Flash Memory,并输入正确的型号和类型。

Step 3
单击New Hardware Image,指定FPGA硬件配置程序的存储位置。一般选择EPCS器件。如果设置为Flash器件,需要有相应的外部器件(CPLD)配合使用。

Step 4
选择Files框。输入Board Description Name、Version和System Template。最后单击Finish…。将Unspecified Board改为刚建的Target Board。

Step 5
向SOPC中添加组件,分配Base Address和IRQ。注意:
(a)Flash地址应从0地址开始。
(b)Nios处理器的JTAG debug module应选择level 1或更高级别。
(c)如果要将NIios程序下载到EPCS中,还要添加EPCS Serial Flash Controller。

Step 6
在Nios II More “cpu_0” Settings中设置复位地址和异常地址。如果Nios程序下载到Flash中,则复位到cfi_flash_0,如果下载到EPCS中,则复位到epcs_controller。

Step 7
在Board Settings中,将Target Device和Device Pins设置为Assign in Quartus II Project。

Step 8
SOPC系统生成+Quartus全编译+下载。过程略。 

Step 9
打开Nios II IDE。程序调试正常后,单击菜单Tools-->Flash Programmer…。选中Program FPGA configuration data into hardware-image region of flash memory,选择FPGA硬件配置程序的下载位置。单击Program Flash。 

End。

抱歉!评论已关闭.