现在的位置: 首页 > 综合 > 正文

ubuntu14.04 64bit 安装 && 破解quartus13.0 记录

2018年03月19日 ⁄ 综合 ⁄ 共 1883字 ⁄ 字号 评论关闭

安装文件:Quartus-13.0.0.156-linux.iso             Quartus-13.0.0.156-devices-1.iso

1、挂载:sudo mount -o loop Quartus-13.0.0.156-linux.iso /media/mnt    //mnt 提前建立好

2、直接运行 sudo ./setup.sh 即可安装 我的安装目录:/usr/local/altera/13.0/quartus

3、

     1)、quartus安装完成后,直接执行运行/usr/local/altera/13.0/quartus/bin/目录下的quartus即可,(./quartus)但是此时运行的是32位的quartus,

                卸载此安装镜像,挂载另一个安装镜像:Quartus-13.0.0.156-devices-1.iso 

     2)、devices安装方法是 终端进入autorun文件,执行sudo ./autorun, 不要被下面的autorun.exe 吓着。。

       

4、想要运行64位的quartus需要以下操作

        建立执行脚本

        #!/bin/sh 

       export QUARTUS_ROOTDIR_OVERIDE=/usr/local/altera/13.0 //我的安装目录
       export LD_LIBRARY_PATH=${LD_LIBRARY_PATH}:/usr/lib/x86_64-linux-gnu/:/usr/lib/i386-linux-gnu  
       ${QUARTUS_ROOTDIR_OVERIDE}/quartus/bin/quartus --64bit    //自己琢磨吧,把 --64去掉就是32位的了。

我把这个脚本保存到/usr/local/altera/13.0/quartus这个目录下了,名字随便起(quartus_64bit   和  quartus_32bit)。

5、建立快捷方式(好像安装软件时默认会在桌面建立快捷方式,反正我的没有出现,操蛋)

      在终端里输入sudo gedit /usr/share/applications/quartus.desktop即可建立快捷方式文件,然后输入以下内容

这个是quartus 64位的快捷方式;

[Desktop Entry]
Type=Application
Name=Quartus II 13.0 (64-bit)
Comment=Quartus II 13.0 (64-bit)
Icon=/usr/local/altera/13.0/quartus/adm/quartusii.png
Exec=/usr/local/altera/13.0/quartus/quartus_64bit       //quartus_64bit 为上面建立的脚本文件,此处为它的目录位置
Terminal=false  
Path=/usr/local/altera/13.0

这个是quartus 32位的快捷方式

[Desktop Entry]
Type=Application
Name=Quartus II 13.0 (32-bit)
Comment=Quartus II 13.0 (32-bit)
Icon=/usr/local/altera/13.0/quartus/adm/quartusii.png
Exec=/usr/local/altera/13.0/quartus/quartus_32bit
Terminal=false  
Path=/usr/local/altera/13.0

到此快捷方式建立完毕。

接下来我们来安装Quartus II需要的32位库,其实这时候Quartus II已经可以运行,但是MegaWizard无法打开,根据终端的错误提示:我们需要安装:

sudo apt-get install libxtst6:i386 libxi6:i386 -y

这两个库安装完毕之后,就能打开MegaWizard了,而且我的项目也全编译通过了。

软件的破解:

破解文件:http://pan.baidu.com/s/1i3gJspB

licenses协议文件用windows下的即可,当然网卡号还是得修改的。

我没有在windows上安装quartus13.0,安装的是12.0,我用的是12.0的license,把网卡号修改后在linux上的quartus13.0也能使用。。哈哈~~~

程序烧写 请参考我的另一篇文章:

ubuntu12.04
FPGA altera 开发环境搭建 及 usb blaster配置

实践的系统不一样,但是原理是一样的。。

抱歉!评论已关闭.